• Complain

Djones Lettnin - Embedded Software Verification and Debugging

Here you can read online Djones Lettnin - Embedded Software Verification and Debugging full text of the book (entire story) in english for free. Download pdf and epub, get meaning, cover and reviews about this ebook. year: 2017, publisher: Springer, genre: Computer. Description of the work, (preface) as well as reviews are available. Best literature library LitArk.com created for fans of good reading and offers a wide selection of genres:

Romance novel Science fiction Adventure Detective Science History Home and family Prose Art Politics Computer Non-fiction Religion Business Children Humor

Choose a favorite category and find really read worthwhile books. Enjoy immersion in the world of imagination, feel the emotions of the characters or learn something new for yourself, make an fascinating discovery.

Djones Lettnin Embedded Software Verification and Debugging

Embedded Software Verification and Debugging: summary, description and annotation

We offer to read an annotation, description, summary or preface (depends on what the author of the book "Embedded Software Verification and Debugging" wrote himself). If you haven't found the necessary information about the book — write in the comments, we will try to find it.

This book provides comprehensive coverage of verification and debugging techniques for embedded software, which is frequently used in safety critical applications (e.g., automotive), where failures are unacceptable. Since the verification of complex systems needs to encompass the verification of both hardware and embedded software modules, this book focuses on verification and debugging approaches for embedded software with hardware dependencies. Coverage includes the entire flow of design, verification and debugging of embedded software and all key approaches to debugging, dynamic, static, and hybrid verification. This book discusses the current, industrial embedded software verification flow, as well as emerging trends with focus on formal and hybrid verification and debugging approaches.

Djones Lettnin: author's other books


Who wrote Embedded Software Verification and Debugging? Find out the surname, the name of the author of the book and a list of all author's works by series.

Embedded Software Verification and Debugging — read online for free the complete book (whole text) full work

Below is the text of the book, divided by pages. System saving the place of the last page read, allows you to conveniently read the book "Embedded Software Verification and Debugging" online for free, without having to search again every time where you left off. Put a bookmark, and you can go to the page where you finished reading at any time.

Light

Font size:

Reset

Interval:

Bookmark:

Make
Springer Science+Business Media, LLC 2017
Djones Lettnin and Markus Winterholer (eds.) Embedded Software Verification and Debugging Embedded Systems 10.1007/978-1-4614-2266-2_1
1. An Overview About Debugging and Verification Techniques for Embedded Software
Djones Lettnin 1
(1)
Department of Electrical and Electronic Engineering, Federal University of Santa Catarina, Florianpolis, Brazil
(2)
swissverified.com, Lucerne, Switzerland
Djones Lettnin (Corresponding author)
Email:
Markus Winterholer
Email:
1.1 The Importance of Debugging and Verification Processes
Embedded systems (ES) have frequently been used over the last years in the electronic systems industry due to their flexible operation and possibility of future expansions. Embedded systems are composed of hardware, software, and other modules (e.g., mechanics) designed to perform a specific task as part of a larger system. Important further concepts such as Cyber-Physical Systems (CPS) and Internet of Things (IoT) consider also different aspects of ES. In CPS, computation and physical processes are integrated considering physical quantities such as timing, energy, and size []. Taking everything into account, internal control of vehicles, autopilot, telecommunication products, electrical appliances, mobile devices, robot control, and medical devices are some of the practical examples of embedded systems.
Over the last years, the amount of software used in embedded electronic products has been increasing and the tendency is that this evolution continues in the future. Almost 90% of the microprocessors developed worldwide have been applied in embedded systems products [].
The embedded software is also frequently used in safety critical applications (e.g., automotive) where failures are unacceptable [, embedded software is being applied with different views in modern SoCs, going from application software (e.g., apps, middleware, operating system, drivers, firmware) distributed among many processor cores, as well as, hardware-dependent (i.e., bare metal) software and finally, covering the communication software stacks.
Fig 11 Example a SoC into a system The electronic system level ESL - photo 1
Fig. 1.1
Example a SoC into a system
The electronic system level (ESL) design and verification consider usually a combination of bottom-up and top-down approaches [. During the pre-silicon phase, it is time to remove critical bugs in system environment. In this phase, the SW is becoming more and more a requirement to tape out, since it may hold the fabrication if a bug is too critical. After the production, the development of SW can be continued on-chip and the post-silicon validation will be performed.
Fig 12 System development Adapted from Fig 13 Software and - photo 2
Fig. 1.2
System development. Adapted from []
Fig 13 Software and verification driving SoC project costs Software - photo 3
Fig. 1.3
Software and verification driving SoC project costs []
Software development, debugging , and verification processes are driving SoC project costs reaching up to 80% of overall development costs, as it can be observed in Fig. ].
This chapter introduces debugging/ verification platforms and methodologies and gives an overview about the scope and organization of this book.
1.2 Debugging and Verification Platforms
Debugging and Verification Platforms can be defined as a standard for the hardware of a computer system, deciding what kinds of debugging and verification processes can be performed. Basically, we can divide the platforms in two categories: Pre- and Post-Silicon. In the pre-silicon platforms, the designs are debugged and verified using virtual environment with sophisticated simulation and formal verification tools. In distinction to post-silicon platforms where real devices are used running on target boards with logic analyzer and assertion-based tools.
1.2.1 OS Simulation
The operating systems of smart devices (e.g., smartphones) allow the developers to create thousands of additional programs with several utilities, such as, to store personal data of the users. In order to develop these applications (i.e., apps), each platform has its strengths, weaknesses, and challenges.
Gronli et al. [] compare the main mobile OS platforms in several different categories, such as software architecture, application development, platform capabilities and constraints, and, finally, developer support. The compared OS platforms considers: (1) Android, a Linux-based operating system from Google; (2) The Windows Phone operating system from Microsoft; (3) The iOS platform from Apple; and one platform representing a new generation: (4) The new web-based Firefox OS from Mozilla. All evaluated platforms presented from good to excellent interactive debugging options.
1.2.2 Virtual Platform
Virtual prototyping (VP) [].
In addition to early software development, virtual prototyping can be used for software distribution, system development kits and customer demos. In post-RTL software development, for example, virtual prototyping can be used as a low-cost replacement for silicon reference boards distributed by semiconductor companies to software developers in systems companies. Compared to reference boards, virtual prototyping provides much better debug capabilities and iteration time, and therefore can accelerate the post-silicon system integration process [].
1.2.3 RTL Simulation
Hardware-dependent software requires a simulator or a target platform to be tested. Register Transfer Level (RTL) simulation is the most widely used method to validate the correctness of digital IC designs. They are better suited to test software with hardware dependencies (e.g., assembly code) and that requires timing accuracy. However, when simulating a large IC designs with complicated internal behaviors (e.g., CPU cores running embedded software), RTL simulation can be extremely time consuming. Since RTL-to-layout is still the most prevalent IC design methodology, it is essential to speedup the RTL simulation process. Recently, General Purpose computing on Graphics Processing Units (GPGPU) is becoming a promising paradigm to accelerate computing-intensive workloads [].
1.2.4 Acceleration/ Emulation
Traditional debugging tools have not kept pace with the rapid rate at which system-on-chip (SoC)/ASIC design size and complexity are growing. As RTL/gate design size increases, traditional simulators slowdown significantly, which delays hardware/software (system) integration and prolong the overall verification cycle.
When excessive simulation time becomes a bottleneck for dynamic verification, hardware emulation and simulation acceleration are often used. Hardware emulators provide a debugging environment with many features that can be found in logic simulators, and in some cases even surpass their debugging capabilities, such as setting breakpoints and visibility of content or sign in memory design. For the Assertion-based Verification (ABV) methodology to be used in hardware emulation, assertions must be supported in hardware []. However, due to its high cost, emulators are expensive for many developers.
Next page
Light

Font size:

Reset

Interval:

Bookmark:

Make

Similar books «Embedded Software Verification and Debugging»

Look at similar books to Embedded Software Verification and Debugging. We have selected literature similar in name and meaning in the hope of providing readers with more options to find new, interesting, not yet read works.


Reviews about «Embedded Software Verification and Debugging»

Discussion, reviews of the book Embedded Software Verification and Debugging and just readers' own opinions. Leave your comments, write what you think about the work, its meaning or the main characters. Specify what exactly you liked and what you didn't like, and why you think so.